IDS-Integrate™

Automatisierte Chipmontage nach Spezifikation

Das manuelle Verbinden von Hunderten oder Tausenden von Blöcken in einem Top-Level-SoC-Design ist ein langwieriger und fehleranfälliger Prozess. Viele ähnlich benannte Signale müssen verbunden werden, wobei Tippfehler vorprogrammiert sind. Das schrittweise Verbinden von wiederholten Instanzen desselben Blocks ist besonders mühsam. Die Erkennung von Verbindungsfehlern erfolgt erst recht spät im Projekt, nämlich erst dann, wenn die Vollchip-Simulation fertig ist. Die Läufe sind auf dieser Ebene langsam und speicherintensiv, und die Fehlersuche ist angesichts der enormen Größe des Entwurfs eine Herausforderung.

Automatische Aufrechterhaltung der Verbindungsintegrität bei Spezifikationsänderungen

IDS-IntegrateTM<br />
Automated Chip Assembly from Specification

Agnisys bietet eine Lösung zur Automatisierung von Spezifikationen für die Montage und Verbindung auf SoC-Ebene. IDS-Integrate bietet eine flexible und anpassbare Umgebung, um die Designanforderungen für Ihren kompletten Chip zu erfüllen.

Wie IDS-Integrate Ihren Entwicklungsprozess verbessert

IDS-Integrate verbindet nicht nur Blöcke miteinander, sondern generiert auch RTL-Komponenten wie Bus-Multiplexer, Aggregatoren, Bridges (AHB zu APB, AXI zu APB und AXI4-Full zu AHB-Full) und andere Komponenten, die für die Installation benötigt werden. Wie erwartet, versteht IDS-Integrate die von IDesignSpec GDI generierten Register-RTL-Designs und die von IDS-IPGen generierten IP-Blöcke vollständig.

Sie sind nicht auf die von Agnisys generierten Designs beschränkt. IDS-Integrate kann jeden IP-Block eines Drittanbieters mit einer IP-XACT-Beschreibung und sogar Ihre eigenen Designblöcke verarbeiten. Sie können in Tcl oder Python spezifizieren, wie Ihre Blöcke miteinander verbunden werden sollen, einschließlich Regeln für ein intelligentes Namens-Mapping zwischen Block-Eingangs- und -Ausgangsports mit gleichen oder ähnlichen Namen. IDS-Integrate setzt das komplette Top-Level-SoC auf der Grundlage Ihrer Spezifikation zusammen.

 

Zu den Aktionen, die Sie mit IDS-Integrate durchführen können, gehören:

  • Verbinden eines IDS-generierten Blocks mit dem Block Ihres Designs und Erstellen eines Wrappers um diesen Block
  • Einlesen einer IP-XACT-Komponente und Verbinden mit den vorhandenen Blöcken
  • Verbinden eines AHB-Busses mit einem APB-Slave durch automatische Instanziierung einer Brücke
  • Verbinden mehrerer AHB-Blöcke mit einem AHB-Master durch automatische Instanziierung eines Aggregators
  • Verschieben eines Blocks aus einer tiefen Hierarchie mehrere Ebenen höher
  • Generierung von SystemVerilog-Assertions (SVA) für Konnektivitätsprüfungen durch formale Verifikation

Vorteile von IDS-Integrate

IDS-Integrate bietet einen automatisierten, wiederholbaren Prozess, der Zeit spart und „correct-by-construction“ SoC-Designs erstellt. Es verbessert die Produktivität Ihres Designteams durch:

  • Eliminierung von manuellen Kodierungsfehlern bei der Verbindung von RTL-Blöcken
  • Unterstützung der Benutzer bei der Überprüfung ihrer Spezifikationen
    eine intuitive grafische Ansicht der Blöcke und ihrer Verbindungen mit einfacher Navigation durch die Chip-Hierarchie
  • Einsparung von Zeit und Ressourcen während des gesamten Projekts
  • Neugenerierung des Top-Level-Designs auf Knopfdruck, wenn sich eine Spezifikation ändert